Standard Design Constraints (.sdc)

Standard design constraints or Synopsys design constraints contains the timing and power related constraints which control design w.r.t to the spec. SDC contents: #Clock definition: To define clock, we need following four mandatory informations.  1. Clock source: it can be a port of the design or be a pin of a cell inside the design. … Continue reading Standard Design Constraints (.sdc)