Tag Well Tap Cells

Tap Cell Placement in VLSI

Tap Cell Placement: Well Tap cells are physical only cells which are placed in the design to avoid latch-up condition and maintain VDD and VSS NWELL continuity. Latch-up condition: Latch-up basically means a short circuit condition between power and ground.…